2003网站太阳集团(中国)官方网站

新闻中心
Weekly News | Supply Chain Trends in Semiconductor Industry
发布日期:2023-07-25


Market Trend(July 14


The explosions at Dow’s plant hit the supply chain of photoresists


According to CCTV, on July 14, the local time of USA, a series of explosions occurred at a plant of Dow Chemical Company.  Dow is an important supplier of photoresist, a key chemical material used for the semiconductor industry, and the chemical plant accident has undoubtedly aroused the close attention of the industry. According to Taiwanese media cnYES, as Dow is a big supplier of photoresit and polishing pad/slurry for the global semiconductor industry, and Dow’s plant in Louisiana is its main production base, the explosions may hit the global production and supply of relevant consumable materials, consequently causing an imbalance in the supply and demand of the materials in the market of semiconductor chemical materials. Everlight Chemical in Taiwan is expected to benefit from the transfer of orders.

According to the report, among Everlight Chemical’s chemicals for the electronic industry, the chemicals used for photoelectronics and semiconductor contribute to 40% and 60% of its revenue respectively. Everlight provides a full array of products including photoresits required for the front end mature process and back end packaging, positive photoresit and negative photoresit for UV process, developer, CMP slurry, photosensitive polymeric amine (PSPI) and other materials, SiC abrasive slurry, polishing slurry, etc. Everlight said that Dow’s photoresit products are mainly used for high-end IC process, but Everlight’s IC photoresits are still undergoing verification and testing, and they account for a relatively small share of its revenue.


Comments: 

Dow Chemical Company is an important supplier of key chemical materials for the semiconductor industry worldwide, and it provides a series of high-purity chemical products such as photoresits, key CMP materials including polishing pads and polishing slurry around the world. Dow’s photoresits are mainly used in mature process, expected to have relatively limited impact on advanced process. However, it will take 3-4 quarters to replace photoresits. At present, it needs to observe the actual impact on the plant after the fire, and if the supply of photoresits is restricted, the supply of other chemicals will also be affected. The explosions at Dow’s plant in Plaquemine, Louisiana are likely to hit the supply chains of photoresists and polishing products.



Policy Trend(July 16


New export controls rumored to further restrict the export of ASML’s chip gear

As the government of the Netherlands follows the US to tighten export controls on key technologies and equipment for chipmaking, and the US government plans to make another big blow to China’s related industry, the cooperation between ASML, a leading global supplier of chipmaking machines, and its Chinese customers will be more severely restricted.

According to Bloomberg, source says that the Netherlands published a new export-control regulation in June to prohibit ASML from providing maintenance, repair, and spare parts for controlled machines without the approval of the government. According to the new restrictions under the regulation issued by the government, ASML is not allowed to ship some immersion DUV mask aligner to China without a license from September.

Source says that, in addition to the controls of the Netherlands, the United States expects to ban ASML from selling older DUV mask aligners to about six Chinese chip factories without the approval of Washington. The Biden administration will invoke the Foreign Direct Product Rule to impose new restrictions on ASML.  It essentially says that if a product of foreign equipment manufacturer was made using American parts, the U.S. government has the power to stop it from being sold.

 

Comments:

 ASML is the worlds largest supplier of mask aligners. As the key gear of chip manufacturing, it is used to print chip designs on wafers. These machines are critical to making everything from processors to storage chips. The double restrictions were updated from the comprehensive export restrictions imposed by the Biden administration on China last year. In addition to hindering the upgrading of semiconductor technology, the new controls of the USA and the Netherlands may reduce the existing capacity of Chinese companies in terms of producing advanced chips over time. In China, there are limited domestic substitutes used for repairing or replacing damaged foreign mask aligners, and ASML has a near monopoly on critical lithography equipment required to manufacture advanced and traditional chips worldwide.



Company Trend(July 18


Samsung has surpassed TSMC in 3nm semiconductor manufacturing yield


According to the media, Samsung Foundry has recently reached a 3nm yield rate of 60 percent. Its Taiwanese rival TSMC has only achieved a 55 percent yield rate of its 3nm advanced semiconductors. This means that Samsung finally triumphed over TSMC in ultra-advanced chip manufacturing technology.

It is reported that Nvidia and Qualcomm are interested in Samsungs second-generation 3nm (SF3) process because most of TSMCs chip production capacity has been reserved by Apple. In addition, TSMCs Japanese and US facilities are expected to produce chips at 15% and 30% higher costs than its factory in Taiwan, respectively. Therefore, due to the higher costs and lower production capacity of TSMC, Nvidia, Qualcomm, and other companies will consider Samsung Foundry’s 3nm chip manufacturing process. AMD can also offer contracts of producing 3nm and 4nm chips to Samsung Foundry. 

In order to diversify its supply chain, Nvidia is even interested in using Intel Foundry’s 2nm process to make its future chips, which will be available by the end of 2024. In contrast, Samsung Foundry and TSMC plan to manufacture chips using the 2nm process by 2025. The 1.4nm chip manufacturing process will be available in 2027.

 

Comments:

In the past few years, due to its low chip manufacturing yield and cooling problems, Samsung Foundry has lost several of its chip customers to TSMC. However, the Korean company is relying on its upcoming 3nm chip manufacturing process to win back customers from TSMC. The yield rate in the semiconductor manufacturing industry means how many semiconductor wafers are non-defective. In other words, the higher the yield, the higher the total number of chips that were actually produced on one wafer, the lower the cost, and the higher the efficiency. Although TSMC is still ahead of Samsung Foundry in its 4nm process, Samsung Foundry has outperformed TSMC in its 3nm chip yield, which is a rare success of Samsung.



Company Trend(July 19


ASML increases its revenue expectations and its backlog orders up to EUR 38 billion


Recently, ASML Holding NV (ASML) has published its 2023 second-quarter financial results. According to statistics, the company’s net sales came in at EUR 6.9 billion, much higher than EUR 5.4 billion YoY. The company’s quarterly net bookings in the second quarter were up to EUR 4.5 billion, of which EUR 1.6 billion was from its advanced EUV systems. ASML stressed that its second-quarter net sales, at the high end of its guidance, was primarily driven by additional DUV immersion revenue in the quarter.

In response to current macro-economic uncertainties, the President of ASML expressed confidence in the company’s resilience, because its huge backlog was around EUR 38 billion. The backlog provides it with a good basis to navigate its growth through these short-term uncertainties. Looking ahead, ASML expects that its third-quarter net sales will be between EUR 6.5 billion and EUR 7.0 billion, with a gross margin of around 50%. It is worth noting that ASML expects strong growth for 2023 with a net sales increase towards 30% from previous 25%, indicating that the company holds an optimistic attitude towards the remaining period of the fiscal year. 

 

Comments: 

ASML is the dominant player in the market of lithography systems, which are crucial for creating complex chip circuits. As a result, the company is capable of serving almost all major chip manufacturers. Despite its positive outlook, ASML acknowledges that some of the computer chip markets are in a downturn period. However, ASMLs backlog is still larger than its current capacity. To meet this demand, ASML is expanding its production capacity. The delivery of ASMLs most advanced EUV systems for computer chip manufacturers has seen a slight slowdown. This trend is driven by the company’s expansion efforts, but the fabs are not yet fully ready to receive EUV machines. With its strong financial results and commitment to meeting market demands, ASML is well positioned to meet the short-term challenges and continues to be a key player in the semiconductor industry.



Summary of trends of some selected domestic industries


1、Novosns intends to acquire 33.63% equity interest in KT Micro

On July 17, Novosns signed a letter of intent (LOI) to acquire 33.63% equity interest in KT Mirco from its shareholders. In order to achieve the purpose of acquiring control over the target company, Novosns still needs to reach an intention with other shareholders of KT Micro to acquire their shares, which is still undergoing further communication.

According to the data, KT Micro focuses on the R&D, design and sales of analog and mixed-signal ICs and mainly produces products such as audio SoC products for consumer markets, including wireless audio transceiver lCs, FM/AM receiver ICs, USB audio ICs, etc. used in the electronic industry. In addition, it also produces signal processing products for communication and industry control application, including ADCs, DACs, integrated ADC&DAC ICs.

Novosns said that this acquisition will help enrich its related technology and IP pipelines, broaden the possibility of developing new products in areas such as wireless connection, general signal chain, audio solution, etc., and enhance its capability in strategic markets, including auto industry and pan energy to develop more product categories to meet more customer demands.


2、The US Semiconductor Industry Association (SIA) calls for ceasing further restrictions on exports to China

On July 18, report says the Semiconductor Industry Association (SIA) of the United States issued a statement on July 17 calling for the Biden administration to “refrain from further restrictions” over exporting chips to China and urging it to “allow the industry to have continued access to the China market, the worlds largest commercial market for commodity semiconductors”.

SIA, the trade body of the US semiconductor industry, urges the Biden administration to refrain from further restrictions until it engages more extensively with industry and experts to assess the impact of current and potential restrictions to determine whether they are narrow and clearly defined, consistently applied, and fully coordinated with allies.

Regarding the series of chip export controls of the United States, a spokesman for the Chinese Foreign Ministry said earlier that the United States abuses export control measures to maliciously block and suppress Chinese companies to maintain its scientific and technological hegemony, which violate the principle of fair competition and international economic and trade rules, thus not only harming the legitimate rights and interests of Chinese companies, but also affecting the interests of US companies.


3、NAURA released the first domestic 12’bevel etcher

Recently, NAURA Technology Group Co., Ltd. (hereinafter referred to as “NAURA”), a company in Beijing Economic-Technological Development Area, officially released the 12-inch plasma etching machine Accura BE for the Bevel Etch process, Which realized the breakthrough of domestic dry bevel etch equipment and provided an efficient solution for China to improve the yield rate of advanced chips. 

 

The relevant head of NAURA said that, as the first domestic 12-inch bevel etcher, Accura BE’s technical performance has reached the mainstream technology level in the industry. The combination of optimizing software system scheduling and unique transmission platform can help customers achieve high production capacity; by selecting and matching with various etching gases, it can achieve the bevel etching processes of PR, OX, SiN, Carbon, Metal and other film materials. With the combination of customized multi-size focusing rings, it can achieve precise position control of plasma etching areas, providing customers with a flexible, comprehensive solution for improving the yield rate; The intelligence algorithms of its software can implement visible quantitative adjustments, which can simplify maintenance process and increase equipment productivity.

了解最新消息

如果你想先了解我们的最新消息,可以即刻订阅
×
即刻订阅
欢迎您订阅2003网站太阳集团网站邮件!


只需填写您的E-MAIL地址和其他信息,您就会收到2003网站太阳集团为您订制的相关新闻、服务、政策、产业等信息内容。


愿2003网站太阳集团能成为您的好伙伴!


您的姓名: *
您的公司: *
联系电话:
Email: *
地址:
订阅内容: *
您想订阅那种信息
  • 公司动态
  • 行业前沿
  • 政策法规
  • 产业洞察
城市:
省:
国家: